Cadence ENCOUNTER CONFORMAL ECO DESIGNER Datasheet

Summary of ENCOUNTER CONFORMAL ECO DESIGNER

  • Page 1

    D a ta s h e e t cadence ® encounter ® conformal ® eco designer enables designers to implement rtl engineering change orders (ecos) for pre- and post-mask layout. It combines automatic eco analysis and design netlist modification with world-class equivalence checking to provide superior performance,...

  • Page 2

    2 www.Cadence.Com encounter conformal eco designer encounter conformal eco designer encounter conformal eco designer gxl modified gate netlist logic equivalence checking extended functional checks clock domain crossing checks semantic checks structural checks equivalence checking support for complex...

  • Page 3

    3 www.Cadence.Com encounter conformal eco designer figure 2: the encounter conformal eco designer implementation flow design netlist (g3) is the hand-off point to the back-end physical implementation tool targeting a pre-mask or post-mask flow. Tool features: • supports combinational and sequential ...

  • Page 4

    © 2009 cadence design systems, inc. All rights reserved. Cadence, conformal, encounter, and verilog are registered trademarks and the cadence logo and soc encounter are trademarks of cadence design systems, inc. All others are properties of their respective holders. 21159 08/09 mk/dm/pdf for more in...