Cadence POWER RAIL VERIFICATION Datasheet

Summary of POWER RAIL VERIFICATION

  • Page 1

    D a ta s h e e t (timing, area, and power with wires), accurate verification, signal-integrity— aware routing, and the latest yield and low-power design capabilities that are critical for advanced 65nm designs. With encounter technology, you can boost your productivity, manage complexity, and get yo...

  • Page 2

    Www.Cadence.Com voltagestorm benefits • enables efficient creation of on-chip power networks − power routing sizes − de-coupling capacitance size and location • minimizes risk of power-related silicon failures − outputs comprehensive static and dynamic ir drop reports − enables ir drop-aware timing ...

  • Page 3

    Www.Cadence.Com voltagestorm transients for all instances within a design. Optional vcd vectors can be used to seed the activity for static or vectorless dynamic power calculation. Vcd vectors can also be used to directly drive powermeter for vector-based dynamic power calculation. Powermeter uses a...

  • Page 4

    Cadence design systems, inc. Corporate headquarters 2655 seely avenue san jose, ca 95134 800.746.6223 / 408.943.1234 www.Cadence.Com © 2006 cadence design systems, inc. Cadence, celtic, encounter, virtuoso, and voltagestorm are registered trademarks, and the cadence logo and soc encounter are tradem...