Xilinx RocketIO User Manual

Other manuals for RocketIO: User Manual, User Manual, User Manual

Summary of RocketIO

  • Page 1

    R rocketio™ x transceiver user guide ug035 (v1.5) november 22, 2004.

  • Page 2

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778 “xilinx” and the xilinx logo shown above are registered trademarks of xilinx, inc. Any rights not expressly granted herein are reserved. Coolrunner, rocketchips, rocket ip, spartan, statebench, statecad, ...

  • Page 3

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 03/09/04 1.3 chapter 1, “rocketio x transceiver overview” : • modified gt10 primitive in “definitions:,” page 25 . • modified table 1-2, page 27 and added note 3. • updated definitions in primitive ports,...

  • Page 4

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778 03/09/04 1.3 (continued) chapter 4, “analog design considerations” : • modified text (at end of section) and added “lt1963a” to “voltage regulation,” page 114 . • replaced figure 4-23, page 117 . • modifi...

  • Page 5

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 06/29/04 1.4 • changed the pma_speed attribute description in table 1-5 . • modified “clock correction sequences” in chapter 2 and table 2-13 . • removed section, “applications without channel bonding” in...

  • Page 6

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778.

  • Page 7: Contents

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 contents preface: about this guide rocketio x features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 user guide organization . . . . . ...

  • Page 8

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 setting mcomma_10b_value, pcomma_10b_value, and comma_10b_mask (special note) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 alignment . ....

  • Page 9

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 receive equalization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 low frequency boosting . . . . . . . . . . . . . . . . . . . . . . . ...

  • Page 10

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 txbuswid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 txloopfilterc[1:0] . . . . . . . . . . . . . . . . . . . . . . . . . ...

  • Page 11

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 migration differences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173 port widths and byte mapping . . . . . . . . . . . . . . . . . . . . ....

  • Page 12

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778.

  • Page 13: Figures

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 figures chapter 1: rocketio x transceiver overview figure 1-1: rocketio x transceiver block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 chapter 2: digital design consideration...

  • Page 14

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 figure 4-4: eye diagram: without pre-emphasis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 figure 4-6: output swing versus pre-emphasis (%) when dc coupled . . . . . . . . . . . ...

  • Page 15

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 appendix b: 8b/10b valid characters appendix c: pma attribute programming bus figure c-1: pma attribute bus waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 figure ...

  • Page 16

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778.

  • Page 17: Tables

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 tables chapter 1: rocketio x transceiver overview table 1-1: number of rocketio x cores per device type . . . . . . . . . . . . . . . . . . . . . . . . . . 25 table 1-2: communications standards supported...

  • Page 18

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 table 4-8: pmarxlocksel[1:0] definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 table 4-9: example signal paths . . . . . . . . . . . . . . . . . . . . . . . . . . ...

  • Page 19

    Ug035 (v1.5) november 22, 2004 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 table c-25: brefclk divider ratio definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 table c-26: rxloopfilterc[1:0] definition . . . . . . . . . . . . . . . . . . . . . . . ....

  • Page 20

    Rocketio™ x transceiver user guide www.Xilinx.Com ug035 (v1.5) november 22, 2004 1-800-255-7778 table f-9: default attribute values: gt10_oc48_1, gt10_oc48_2, and gt10_oc48_4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197...

  • Page 21: About This Guide

    Rocketio™ x transceiver user guide www.Xilinx.Com 21 ug035 (v1.5) november 22, 2004 1-800-255-7778 r preface about this guide rocketio x features rocketio x transceivers have flexible, programmable features that allow a multi-gigabit serial transceiver (mgt) to be easily integrated into any virtex-i...

  • Page 22: Related Information

    22 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 preface: about this guide r • chapter 4, “analog design considerations” – rocketio x serial overview; pre- emphasis; jitter; clock/data recovery; pcb design requirements. • chapter 5, “simulation and i...

  • Page 23: User Guide Conventions

    Rocketio™ x transceiver user guide www.Xilinx.Com 23 ug035 (v1.5) november 22, 2004 1-800-255-7778 user guide conventions r user guide conventions this document uses the following conventions. An example illustrates each convention. Port and attribute names input and output ports of the rocketio x t...

  • Page 24

    24 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 preface: about this guide r.

  • Page 25

    Rocketio™ x transceiver user guide www.Xilinx.Com 25 ug035 (v1.5) november 22, 2004 1-800-255-7778 r chapter 1 rocketio x transceiver overview basic architecture and capabilities note: the definitions, descriptions, and recommendations in this user guide reflect step 1 silicon. For step 0 silicon, s...

  • Page 26

    26 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r figure 1-1: rocketio x transceiver block diagram fpga fabric multi-gigabit transceiver core serializer rxp txp clock manager power down package pins deseria...

  • Page 27

    Rocketio™ x transceiver user guide www.Xilinx.Com 27 ug035 (v1.5) november 22, 2004 1-800-255-7778 basic architecture and capabilities r . Table 1-3 lists the transceiver primitives provided. These primitives carry attributes set to default values for the communications protocols listed in table 1-2...

  • Page 28: Available Ports

    28 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r the rocketio x transceiver consists of the physical media attachment (pma) and physical coding sublayer (pcs). The pma contains the serializer/deserializer ...

  • Page 29

    Rocketio™ x transceiver user guide www.Xilinx.Com 29 ug035 (v1.5) november 22, 2004 1-800-255-7778 available ports r enmcommaalign i 1 selects realignment of incoming serial bitstream on minus- comma. When asserted realigns serial bitstream byte boundary to where minus-comma is detected. Enpcommaali...

  • Page 30

    30 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r rxcharisk[7:0] o 1, 2, 4, 8 (1) if 8b/10b decoding is enabled, it indicates that the received data is a “k” character when asserted. Included in byte- mappi...

  • Page 31

    Rocketio™ x transceiver user guide www.Xilinx.Com 31 ug035 (v1.5) november 22, 2004 1-800-255-7778 available ports r rxrealign o 1 signal from the pma denoting that the byte alignment with the serial data stream changed due to a comma detection. Asserted high when alignment occurs. Rxrecclk o 1 cloc...

  • Page 32

    32 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r txbypass8b10b[7:0] i 8 if txenc8b10buse = 1 and txenc64b66buse = 0 (8b/10b encoder enabled and 64b/66b encoder disabled), each bit of txbypass8b10b[7:0] con...

  • Page 33

    Rocketio™ x transceiver user guide www.Xilinx.Com 33 ug035 (v1.5) november 22, 2004 1-800-255-7778 available ports r txenc8b10buse i 1 if asserted high, the 8b/10b encoder is used. If deasserted, the 8b/10bencoder is bypassed. Txgearbox64b66buse i 1 if asserted high, the 64b/66b gearbox is used. If ...

  • Page 34

    34 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r txusrclk i 1 clock output from a dcm that is clocked with the refclk (or other reference clock). This clock is used for writing the tx buffer and is frequen...

  • Page 35: Primitive Attributes

    Rocketio™ x transceiver user guide www.Xilinx.Com 35 ug035 (v1.5) november 22, 2004 1-800-255-7778 primitive attributes r primitive attributes the primitives also contain attributes set by default to specific values controlling each specific primitive’s protocol parameters. Included are channel-bond...

  • Page 36

    36 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r chan_bond_seq_1_*[10:0] 11-bit vector these define the channel bonding sequence. The usage of these vectors also depends on chan_bond_seq_len and chan_bond_...

  • Page 37

    Rocketio™ x transceiver user guide www.Xilinx.Com 37 ug035 (v1.5) november 22, 2004 1-800-255-7778 primitive attributes r clk_cor_seq_2_mask[3:0] 4-bit vector each bit of the mask determines if that particular sequence is detected regardless of its value. If bit 0 is high, then clk_cor_seq_2_1 is ma...

  • Page 38

    38 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r mcomma_10b_value[9:0] 10-bit vector these define minus-comma for the purpose of raising rxcommadet and realigning the serial bit stream byte boundary. This ...

  • Page 39: Modifiable Attributes

    Rocketio™ x transceiver user guide www.Xilinx.Com 39 ug035 (v1.5) november 22, 2004 1-800-255-7778 modifiable attributes r modifiable attributes as shown in appendix f, “modifiable attributes” ( table f-1 through table f-9 ) only certain attributes are modifiable for any primitive. These attributes ...

  • Page 40

    40 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 1: rocketio x transceiver overview r.

  • Page 41

    Rocketio™ x transceiver user guide www.Xilinx.Com 41 ug035 (v1.5) november 22, 2004 1-800-255-7778 r chapter 2 digital design considerations the physical coding sublayer (pcs) portion of the rocketio x transceiver has been significantly updated relative to the rocketio. The rocketio x pcs supports 8...

  • Page 42: Top-Level Architecture

    42 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r top-level architecture transmit architecture the transmit architecture for the pcs is shown in figure 2-1 . For information about bypassing particular blocks,...

  • Page 43: Block Level Functions

    Rocketio™ x transceiver user guide www.Xilinx.Com 43 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r operation modes internally, there are four modes of operation within the pcs: 16 bit, 20 bit, 32 bit, and 40 bit. The pcs fundamentally operates in either 2-byte mode, or 4-byte...

  • Page 44

    44 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r the following static signals are inputs that control the pcs interblock routing and bypass for particular blocks, which adjust the architecture of the pcs for...

  • Page 45

    Rocketio™ x transceiver user guide www.Xilinx.Com 45 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r • rxdisperr[7:0] • rxnotintable[7:0] • rxdata[63:0] the following dynamic signals indicate data to be transmitted on the transmit bus, along with status signals that indicate sp...

  • Page 46

    46 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r bus interface selecting the external configuration (fabric interface) by using the signals txdatawidth[1:0] and rxdatawidth[1:0], the fabric interface can be ...

  • Page 47

    Rocketio™ x transceiver user guide www.Xilinx.Com 47 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r 8b/10b note: in the rocketio transceiver, the most significant byte was sent first; in the rocketio x transceiver the least significant byte is sent first. The following section...

  • Page 48

    48 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r encoder a bypassable 8b/10b encoder is included in the transmitter. The encoder uses the same 256 data characters and 12 control characters (shown in appendix...

  • Page 49

    Rocketio™ x transceiver user guide www.Xilinx.Com 49 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r during transmit, while 8b/10b encoding is enabled, the disparity of the serial transmission can be controlled with the txchardispval and txchardispmode ports. When 8b/10b encodi...

  • Page 50

    50 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r decoder an optional 8b/10b decoder is included in the receiver. A programmable option allows the decoder to be bypassed. When the 8b/10b decoder is bypassed, ...

  • Page 51

    Rocketio™ x transceiver user guide www.Xilinx.Com 51 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r rxcharisk and rxrundisp rxcharisk and rxrundisp are dual-purpose ports for the receiver depending whether 8b/10b decoding is enabled. Figure 2-8 shows this dual functionality. W...

  • Page 52

    52 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r vitesse disparity example to support other protocols, the transceiver can affect the disparity mode of the serial data transmitted. For example, vitesse chann...

  • Page 53

    Rocketio™ x transceiver user guide www.Xilinx.Com 53 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r comma detection summary comma detection has been expanded beyond 10-bit symbol detection and alignment to include 8-bit symbol detection and alignment for 16-, 20-, 32-, and 40-...

  • Page 54

    54 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r setting mcomma_10b_value, pcomma_10b_value, and comma_10b_mask (special note) the attributes, mcomma_10b_value, pcomma_10b_value, and comma_10b_mask are used ...

  • Page 55

    Rocketio™ x transceiver user guide www.Xilinx.Com 55 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r alignment after the positive symbol or the negative symbol is detected, the data is aligned to that symbol. By using the signals enmcommaalign, enpcommaalign, align_comma_word, ...

  • Page 56

    56 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r align_comma_word the attribute align_comma_word controls when realignment takes place when the difference between symbols is on a byte-by-byte basis. If the c...

  • Page 57

    Rocketio™ x transceiver user guide www.Xilinx.Com 57 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r 64b/66b encoder bypassing there are two types of bypassing regarding the 64b/66b encoder. The encoder block can either be entirely bypassed, or the 64b/66b encoder can be used a...

  • Page 58

    58 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r each “one” in the txc span represents a control-character-match -- recognition that the associated byte is a special control character of some type (idle, sta...

  • Page 59

    Rocketio™ x transceiver user guide www.Xilinx.Com 59 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r the control codes are specified as follows in table 2-12 : scrambler bypassing if the signal txscram64b66buse is deasserted low, the scrambler is not used. Note that the scrambl...

  • Page 60

    60 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r gearbox bypassing if the signal txgearbox64b66buse is deasserted low, the gearbox is not used. The gearbox should always be enabled when using the 64/66 proto...

  • Page 61

    Rocketio™ x transceiver user guide www.Xilinx.Com 61 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r block sync normal operation this block sync design works hand-in-hand with the commadet block. The commadet takes as input 32 bits of scrambled and unaligned data from the pma. ...

  • Page 62

    62 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r figure 2-9: block sync state machine lock_init block_lock test_sh reset_cnt sh_cnt sh_invalid_cnt slip_done test_sh test_sh valid_sh sh_cnt ++ 64_good block_l...

  • Page 63

    Rocketio™ x transceiver user guide www.Xilinx.Com 63 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r the state machine works by keeping track of valid and invalid sync headers. Upon reset, block lock is deasserted, and the state is lock_init . The next state is reset_cnt where ...

  • Page 64

    64 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r clk_cor_min_lat. This is also true for a correction to the latency due to a clk_cor_min_lat violation; the resulting latency after the correction is greater t...

  • Page 65

    Rocketio™ x transceiver user guide www.Xilinx.Com 65 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r table 2-13 is an example of data 11-bit attribute setting, the character value, charisk value, and the parallel data interface, and how each corresponds with the other. Determin...

  • Page 66

    66 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r 050607xx the bonded channels consist of one master transceiver and 1 to 19 slave transceivers. The chbondi/chbondo buses of the transceivers are daisy-chained...

  • Page 67

    Rocketio™ x transceiver user guide www.Xilinx.Com 67 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r be deterministic. Therefore, it is important that the interconnect of chbondo-to- chbondi not contain any pipeline stages. The data must transfer from chbondo to chbondi in one ...

  • Page 68

    68 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r status and event bus the virtex-ii pro x design has merged several signals together to provide extra functionality over the virtex-ii pro™ design. The signals...

  • Page 69

    Rocketio™ x transceiver user guide www.Xilinx.Com 69 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r event indication two types of events can occur. See table 2-17 . When an event occurs, it can override a status indication. An event can only last for one clock and can be signa...

  • Page 70

    70 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r reg cc_event_insert; reg cc_event_remove; reg cb_event_load; reg err_event_cc; reg err_event_cb; reg [5:0] pointerdiff; reg [2:0] pointerdiff_hi; reg [1:0] po...

  • Page 71

    Rocketio™ x transceiver user guide www.Xilinx.Com 71 ug035 (v1.5) november 22, 2004 1-800-255-7778 block level functions r if (dcm_locked_n) begin pointerdiff_valid cbskew_valid end else if ((status_bus == status_indicator_c) & ~rxclkcorcnt[2] & ~rxclkcorcnt[1] ) begin pointerdiff_valid cbskew_valid...

  • Page 72

    72 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 2: digital design considerations r endmodule.

  • Page 73: Clocking and Clock Domains

    Rocketio™ x transceiver user guide www.Xilinx.Com 73 ug035 (v1.5) november 22, 2004 1-800-255-7778 r chapter 3 clocking and clock domains clock domain architecture there are seven clock inputs into each rocketio x transceiver instantiation. Refclk, refclk2, and brefclk are clocks generated from an e...

  • Page 74

    74 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r clock ports table 3-1: clock ports clock i/os description brefclknin brefclkpin input reference clock used for generating high-frequency timing in the tx and rx ...

  • Page 75

    Rocketio™ x transceiver user guide www.Xilinx.Com 75 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r use models virtex-ii pro x mgts have considerable flexibility of the clocking schemes. The relationship of the brefclk, txoutclk, rxrecclk, rxusrclk, rxusrclk2, table 3-2, p...

  • Page 76

    76 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r figure 3-3: brefclk 1:1:1 gt10 brefclk txoutclk rxrecclk user logic txusrclk txusrclk2 rxusrclk rxusrclk2 txdata rxdata dcm clkin clkfb clk0 clkdv clkfx bufg tx ...

  • Page 77

    Rocketio™ x transceiver user guide www.Xilinx.Com 77 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r figure 3-5: txoutclk 1:1:1 gt10 brefclk txoutclk rxrecclk user logic txusrclk txusrclk2 rxusrclk rxusrclk2 txdata rxdata dcm clkin clkfb clk0 clkdv clkfx bufg tx & rx txoutc...

  • Page 78

    78 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r 2:1 use models figure 3-7: brefclk 1:2:1 brefclk usrclk usrclk2 and user logic ug035_ch3_14_060304 fx multiple=2 gt10 brefclk txoutclk rxrecclk user logic txusrc...

  • Page 79

    Rocketio™ x transceiver user guide www.Xilinx.Com 79 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r figure 3-9: txoutclk 2:2:1 txoutclk usrclk usrclk2 and user logic ug035_ch3_17_060304 dv ratio = 2 gt10 brefclk txoutclk rxrecclk user logic txusrclk txusrclk2 rxusrclk rxus...

  • Page 80

    80 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r 1:2 use models figure 3-11: brefclk 0:1:2 brefclk usrclk usrclk2 and user logic ug035_ch3_24_060304 fx multiple = 4 gt10 brefclk txoutclk rxrecclk user logic txu...

  • Page 81

    Rocketio™ x transceiver user guide www.Xilinx.Com 81 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r note: figure 3-15 shows the rocketio x transceiver instantiated using the recovered clock to clock in the fpga fabric on the receive side. This can be used to avoid clock co...

  • Page 82

    82 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r figure 3-15: rxrecclk 2:1:2 rxrecclk* *rxrecclk should only drive the receive clocks usrclk usrclk2 and user logic ug035_ch3_28_060304 dv ratio = 2 local inversi...

  • Page 83

    Rocketio™ x transceiver user guide www.Xilinx.Com 83 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r supported use models for each pma mode the use models discussed in the previous section work for certain pma modes. In some pma modes, a brefclk use model is not available b...

  • Page 84

    84 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r 21_40 brefclk 2:1:1*, txoutclk 1:1:1, rxrecclk 1:1:1 dcm must be in hf mode 21_80 txoutclk 2:2:1, rxrecclk 2:2:1 23_10 brefclk 1:1:2, txoutclk 2:1:2, rxrecclk 2:...

  • Page 85

    Rocketio™ x transceiver user guide www.Xilinx.Com 85 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r pma the pma uses the pma_speed attribute to set many aspects of the rocketio x transceiver for a given serial rate. Many of the aspect set includes analog voltages, biasing,...

  • Page 86

    86 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r 13_40 n/a(1) 10 250 250 250 250 250 40 40/32 none/ 8b/10b 13_80 n/a(1) 10 250 250 250 250 125 40 80/64 none/ 8b/10b 14_40 n/a(1) 10 125 250 250 250 250 40 40/32 ...

  • Page 87

    Rocketio™ x transceiver user guide www.Xilinx.Com 87 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r 23_40 xaui fibre channel 3.1875 159.375 159.375 159.375 159.38 79.6875 20 40/32 none/ 8b/10b 24_10 xaui 3.125 312.5 312.5 312.5 156.25 312.5 20 10/8 none/ 8b/10b 24_20 xaui ...

  • Page 88

    88 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r clock dependency all signals used by the fpga fabric to interact between user logic and the transceiver depend on an edge of usrclk2 (pma attribute bus signals a...

  • Page 89

    Rocketio™ x transceiver user guide www.Xilinx.Com 89 ug035 (v1.5) november 22, 2004 1-800-255-7778 clock domain architecture r data path latency with the many configurations of the virtex-ii pro x transceiver, both the transmit and receive latency of the data path varies. Table 3-4 and table 3-5 pro...

  • Page 90: Resets And Power Down

    90 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 3: clocking and clock domains r resets and power down in the pcs, there are several reset and power down functions that have different effects. It is possible to only reset the pcs, which bring...

  • Page 91: Analog Design Considerations

    Rocketio™ x transceiver user guide www.Xilinx.Com 91 ug035 (v1.5) november 22, 2004 1-800-255-7778 r chapter 4 analog design considerations serial i/o description the rocketio x transceiver transmits and receives serial differential signals, using a nominal supply voltage of 1.5 vdc. A serial differ...

  • Page 92: Output Swing and Emphasis

    92 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r output swing and emphasis the output swing and emphasis levels of the rocketio x mgts are fully programmable. Each is controlled via attributes at configuratio...

  • Page 93

    Rocketio™ x transceiver user guide www.Xilinx.Com 93 ug035 (v1.5) november 22, 2004 1-800-255-7778 output swing and emphasis r figure 4-2: alternating k28.5+ without pre-emphasis figure 4-3: k28.5+ with pre-emphasis ug035_ch4_02_091903 ug035_ch4__02_091903 logic high strong high strong low logic low.

  • Page 94

    94 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-4: eye diagram: without pre-emphasis figure 4-5: eye diagram: with pre-emphasis ug035_ch4_04_091903 ug035_ch4_05_091903.

  • Page 95

    50 Ω ). The drive/emphasis settings f...

  • Page 96

    96 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-6 and figure 4-7 illustrate the above dc coupled vos versus pre-emphasis in percent and db, respectively. . Figure 4-6: output swing versus pre-emphas...

  • Page 97

    Rocketio™ x transceiver user guide www.Xilinx.Com 97 ug035 (v1.5) november 22, 2004 1-800-255-7778 output swing and emphasis r the drive/emphasis settings for supported single-ended output swing (vos) and de- emphasis levels (%, db) when dc coupled are shown in table 4-3 . The unused (grey) combinat...

  • Page 98

    98 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-8 and figure 4-9 illustrate the above dc coupled vos versus de-emphasis in percent and db, respectively. Figure 4-8: output swing versus de-emphasis (...

  • Page 99

    Rocketio™ x transceiver user guide www.Xilinx.Com 99 ug035 (v1.5) november 22, 2004 1-800-255-7778 output swing and emphasis r ac coupled when the transmit output and receive input of two mgts are ac coupled and/or the receiver is differentially terminated, the transmitter sees an ac impedance of 25...

  • Page 100

    100 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-10 and figure 4-11 illustrate the above ac couple vos versus pre-emphasis in percent and db, respectively. Figure 4-10: output swing versus pre-empha...

  • Page 101

    Rocketio™ x transceiver user guide www.Xilinx.Com 101 ug035 (v1.5) november 22, 2004 1-800-255-7778 output swing and emphasis r the drive emphasis settings for supported single-ended output swing (vos) and de- emphasis levels (%, db) when ac coupled are shown in table 4-5 . The unused (grey combinat...

  • Page 102

    102 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-12 and figure 4-13 illustrate the above ac coupled vos versus de-emphasis in percent and db, respectively. Figure 4-12: output swing versus de-emphas...

  • Page 103: Differential Receiver

    Rocketio™ x transceiver user guide www.Xilinx.Com 103 ug035 (v1.5) november 22, 2004 1-800-255-7778 differential receiver r differential receiver the differential receiver accepts the v p and v n signals, carrying out the difference calculation v p - v n electronically. All input data must be differ...

  • Page 104: Clock and Data Recovery

    104 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r clock and data recovery the serial transceiver input is locked to the input data stream through clock and data recovery (cdr), a built-in feature of the rocke...

  • Page 105: Receive Equalization

    Rocketio™ x transceiver user guide www.Xilinx.Com 105 ug035 (v1.5) november 22, 2004 1-800-255-7778 receive equalization r when receive pll lock is forced to the incoming data, the local reference clock is ignored. The recovered clock is not guaranteed to be within any tolerance of the local referen...

  • Page 106

    106 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-14 is a plot of the magnitude frequency response for all 1024 states of rxfer[9:0]. Rxfer[9:0] can be broken out into four groups from the lowest to ...

  • Page 107

    Rocketio™ x transceiver user guide www.Xilinx.Com 107 ug035 (v1.5) november 22, 2004 1-800-255-7778 receive equalization r low frequency boosting rxfer[3:2] adjusts a boost in the 50 mhz to 200 mhz range. Figure 4-15 is a plot of the magnitude frequency response for rxfer[3:2], while the other 8 bit...

  • Page 108

    108 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r mid frequency boosting rxfer[1:0] adjust a boost in the 200 mhz to 1 ghz range. Figure 4-16 is a plot of the magnitude frequency response for rxfer[1:0], whil...

  • Page 109

    Rocketio™ x transceiver user guide www.Xilinx.Com 109 ug035 (v1.5) november 22, 2004 1-800-255-7778 receive equalization r high frequency boosting rxfer[6:4] adjusts a boost in the 500 mhz to 2 ghz range. Figure 4-17 is a plot of the magnitude frequency response for rxfer[6:4], while the other 7 bit...

  • Page 110

    110 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r figure 4-18 is a plot of the magnitude frequency response for rxfer[9:7], while the other 7 bits are all 1s. Rxfer[9:0] = xxx 1111111. The frequency steps in ...

  • Page 111

    Rocketio™ x transceiver user guide www.Xilinx.Com 111 ug035 (v1.5) november 22, 2004 1-800-255-7778 receive equalization r figure 4-19 shows the results for 8 of the 64 states represented by rxfer[9:4]. Figure 4-19 shows a plot of the eight states where: • rxfer[9] = rxfer[6] • rxfer[8] = rxfer[5] •...

  • Page 112: Settings

    112 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r alternatively, the two groupings can be skewed to give very different frequency responses. For example, setting rxfer[9:7] to the lowest frequency and rxfer[6...

  • Page 113

    Rocketio™ x transceiver user guide www.Xilinx.Com 113 ug035 (v1.5) november 22, 2004 1-800-255-7778 simulation transmitter emphasis and receiver equalization settings r many different pcb materials and connectors are available in the market, and using different pcb material or a different connector ...

  • Page 114: Pcb Design Requirements

    114 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r pcb design requirements to ensure reliable operation of therocketio x transceivers, certain requirements must be met by the designer. This section outlines th...

  • Page 115

    Rocketio™ x transceiver user guide www.Xilinx.Com 115 ug035 (v1.5) november 22, 2004 1-800-255-7778 pcb design requirements r • texas instruments tps786xx 1.5a rf ldo (for more information about this device, visit http://focus.Ti.Com/docs/prod/productfolder.Jhtml?Genericpartnumber=tps78618 .) the lt...

  • Page 116

    116 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r each transceiver power pin requires one ferrite bead. Like the virtex-ii pro mgt flip chip devices, all virtex-ii pro x mgts contain power-filtering capacitor...

  • Page 117

    Rocketio™ x transceiver user guide www.Xilinx.Com 117 ug035 (v1.5) november 22, 2004 1-800-255-7778 pcb design requirements r vtrx. The ferrite beads are mounted at the 16 “l[n]” locations (highlighted by the colored circles). All avccauxtx and avccauxrx pins in a virtex-ii pro x device must be conn...

  • Page 118

    118 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r all signal traces must have an intact reference plane beneath them. Stripline and microstrip geometries may be used. The reference plane should extend no less...

  • Page 119

    Rocketio™ x transceiver user guide www.Xilinx.Com 119 ug035 (v1.5) november 22, 2004 1-800-255-7778 pcb design requirements r vicinity of the obstacle to correct for the impedance discontinuity (increase the individual trace width where trace separation occurs). Figure 4-25 and figure 4-26 show exam...

  • Page 120

    120 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r termination the rocketio x transceiver implements on-chip 50 Ω termination in both the transmitter (txp/txn) and receiver (rxp/rxn). The output driver and ter...

  • Page 121

    Rocketio™ x transceiver user guide www.Xilinx.Com 121 ug035 (v1.5) november 22, 2004 1-800-255-7778 other important design notes r supports coded or scrambled data with run lengths of up to 72 bits for the entire range of data rates (2.488– 10.3125 gb/s). This ac coupling provides a high-pass filter...

  • Page 122

    122 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 4: analog design considerations r transmitting or receiving. Therefore, when a transceiver is not to be used for an extended period of time, the powerdown port should be asserted high to reduc...

  • Page 123: Pma Initialization

    Rocketio™ x transceiver user guide www.Xilinx.Com 123 ug035 (v1.5) november 22, 2004 1-800-255-7778 r chapter 5 simulation and implementation pma initialization when the pmainit signal is asserted high, the pcs resets the pma and the pcs, and loads coefficients from the pma_speed attribute into the ...

  • Page 124

    124 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 5: simulation and implementation r figure 5-1: pma initialization pmainit signal asserted pma address 15 loaded in 4 stages according to pma_pwr_mask attribute pma initialization function reli...

  • Page 125: Model Considerations

    Rocketio™ x transceiver user guide www.Xilinx.Com 125 ug035 (v1.5) november 22, 2004 1-800-255-7778 model considerations r model considerations when running with the functional swift model, users need to note that the model correctly mimics the startup times required for the pma. However, since the ...

  • Page 126: Mgt Package Pins

    126 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 5: simulation and implementation r mgt package pins the mgts make up a hard core placed in the fpga fabric; all package pins for the mgts are dedicated on the virtex-ii pro x device. This is s...

  • Page 127: Diagnostic Signals

    Rocketio™ x transceiver user guide www.Xilinx.Com 127 ug035 (v1.5) november 22, 2004 1-800-255-7778 diagnostic signals r diagnostic signals often a diagnostic check is needed upon power-up. Rocketi/o x transceivers have several inputs and outputs to run these checks. Loopback loopback allows the use...

  • Page 128

    128 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 chapter 5: simulation and implementation r parallel loopback in parallel loopback mode, data is looped at the pcs/pma interface and clocked via the synthesized clock from the rocketio x transmitter. A...

  • Page 129

    Rocketio™ x transceiver user guide www.Xilinx.Com 129 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix a rocketio x transceiver timing model this appendix explains the timing parameters associated with the rocketio x™ transceiver core. It is intended to be used in conjunction with module 3 o...

  • Page 130

    130 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix a: rocketio x transceiver timing model r rxrecclk recovered clock from rocketio x receiver, locked to incoming data stream. This clock can be scaled (e.G., 64/66) relative to incoming data ra...

  • Page 131

    Rocketio™ x transceiver user guide www.Xilinx.Com 131 ug035 (v1.5) november 22, 2004 1-800-255-7778 r figure a-1: rocketio x transceiver block diagram fpga fabric multi-gigabit transceiver core serializer rxp txp clock manager power down package pins deserializer comma detect realign 8b/10b decoder ...

  • Page 132: Timing Parameters

    132 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix a: rocketio x transceiver timing model r timing parameters parameter designations are constructed to reflect the functions they perform, as well as the i/o signals to which they are synchrono...

  • Page 133

    Rocketio™ x transceiver user guide www.Xilinx.Com 133 ug035 (v1.5) november 22, 2004 1-800-255-7778 timing diagram and timing parameter tables r pulse width (examples): t tx2pwl minimum pulse width, tx2 clock, low state t refpwh minimum pulse width, reference clock, high state timing diagram and tim...

  • Page 134

    134 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix a: rocketio x transceiver timing model r table a-2: parameters relative to rx user clock (rxusrclk) parameter function signals setup/hold: t gcck _chbi/t gckc _chbi control inputs chbondi[4:0...

  • Page 135

    Rocketio™ x transceiver user guide www.Xilinx.Com 135 ug035 (v1.5) november 22, 2004 1-800-255-7778 timing diagram and timing parameter tables r clock: t rx2pwh clock pulse width, high state rxusrclk2 t rx2pwl clock pulse width, low state rxusrclk2 table a-3: parameters relative to rx user clock2 (r...

  • Page 136

    136 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix a: rocketio x transceiver timing model r table a-5: pma clock parameters parameter function signals t gcck _paddr/t gcck _paddr control inputs pmaregaddr[5:0] t gcck _pinit/t gcck _pinit cont...

  • Page 137: 8B/10B Valid Characters

    Rocketio™ x transceiver user guide www.Xilinx.Com 137 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix b 8b/10b valid characters valid data and control characters 8b/10b encoding includes a set of data characters and k-characters. Eight-bit values are coded into 10-bit values keeping the ser...

  • Page 138

    138 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix b: 8b/10b valid characters r d20.0 000 10100 001011 1011 001011 0100 d21.0 000 10101 101010 1011 101010 0100 d22.0 000 10110 011010 1011 011010 0100 d23.0 000 10111 111010 0100 000101 1011 d2...

  • Page 139

    Rocketio™ x transceiver user guide www.Xilinx.Com 139 ug035 (v1.5) november 22, 2004 1-800-255-7778 valid data and control characters r d20.1 001 10100 001011 1001 001011 1001 d21.1 001 10101 101010 1001 101010 1001 d22.1 001 10110 011010 1001 011010 1001 d23.1 001 10111 111010 1001 000101 1001 d24....

  • Page 140

    140 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix b: 8b/10b valid characters r d20.2 010 10100 001011 0101 001011 0101 d21.2 010 10101 101010 0101 101010 0101 d22.2 010 10110 011010 0101 011010 0101 d23.2 010 10111 111010 0101 000101 0101 d2...

  • Page 141

    Rocketio™ x transceiver user guide www.Xilinx.Com 141 ug035 (v1.5) november 22, 2004 1-800-255-7778 valid data and control characters r d20.3 011 10100 001011 1100 001011 0011 d21.3 011 10101 101010 1100 101010 0011 d22.3 011 10110 011010 1100 011010 0011 d23.3 011 10111 111010 0011 000101 1100 d24....

  • Page 142

    142 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix b: 8b/10b valid characters r d20.4 100 10100 001011 1101 001011 0010 d21.4 100 10101 101010 1101 101010 0010 d22.4 100 10110 011010 1101 011010 0010 d23.4 100 10111 111010 0010 000101 1101 d2...

  • Page 143

    Rocketio™ x transceiver user guide www.Xilinx.Com 143 ug035 (v1.5) november 22, 2004 1-800-255-7778 valid data and control characters r d20.5 101 10100 001011 1010 001011 1010 d21.5 101 10101 101010 1010 101010 1010 d22.5 101 10110 011010 1010 011010 1010 d23.5 101 10111 111010 1010 000101 1010 d24....

  • Page 144

    144 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix b: 8b/10b valid characters r d20.6 110 10100 001011 0110 001011 0110 d21.6 110 10101 101010 0110 101010 0110 d22.6 110 10110 011010 0110 011010 0110 d23.6 110 10111 111010 0110 000101 0110 d2...

  • Page 145

    Rocketio™ x transceiver user guide www.Xilinx.Com 145 ug035 (v1.5) november 22, 2004 1-800-255-7778 valid data and control characters r d20.7 111 10100 001011 0111 001011 0001 d21.7 111 10101 101010 1110 101010 0001 d22.7 111 10110 011010 1110 011010 0001 d23.7 111 10111 111010 0001 000101 1110 d24....

  • Page 146

    146 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix b: 8b/10b valid characters r.

  • Page 147: Interface Description

    Rocketio™ x transceiver user guide www.Xilinx.Com 147 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix c pma attribute programming bus the rocketio x transceivers provide a simple, parallel programming bus for dynamically configuring the pma attribute settings. This gives the end user real-t...

  • Page 148: Memory Map

    148 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r register access is via a simple, active-low strobe cycle. A write cycle followed by a read cycle is illustrated in figure c-1. When pmaregstrobe is unassert...

  • Page 149: Register Definition

    Rocketio™ x transceiver user guide www.Xilinx.Com 149 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r register definition this section defines the individual pma attribute vectors, as presented in table c-2 . Masterbias[1:0] masterbias[1:0] selects the reference voltage used to g...

  • Page 150

    150 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r 0100 ÷ 8 0101 ÷ 8.25 0110 ÷ 10 0111 reserved 1000 ÷ 16 1001 ÷ 16.5 1010 ÷ 20 1011 reserved 1100 reserved 1101 reserved 1110 reserved 1111 reserved table c-5...

  • Page 151

    Rocketio™ x transceiver user guide www.Xilinx.Com 151 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r txbuswid txbuswid selects between wide and narrow internal parallel transmit data bus. The default is 1 (wide). The bus width settings are defined as follows: txloopfilterc[1:0] ...

  • Page 152

    152 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r iboost iboost selects between nominal and increased bias current in all regulators. The default is 0 (nominal). The current is defined as follows: txcpi txc...

  • Page 153

    Rocketio™ x transceiver user guide www.Xilinx.Com 153 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r txvcogain txvcogain selects between low and high gain of the transmit vco. The default is 1 (high). The transmit vco gain control is defined as follows: txvsel[1:0] txvsel[1:0] s...

  • Page 154

    154 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r prdrvoff prdrvoff enables and disables the line driver. The default is 0 (enabled). When disabled, the output is kept at the common mode voltage. The line d...

  • Page 155

    Rocketio™ x transceiver user guide www.Xilinx.Com 155 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r txdigsw txdigsw selects the source of 1.5v transmit digital power supply. The default is primitive dependent. The transmit digital power supply selection is defined as follows: t...

  • Page 156

    156 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r 1100 reserved 1101 reserved 1110 reserved 1111 reserved table c-22: rxclk0 divider ratio definition rxdivratio[11:8] divider 0000 ÷ 8 0001 ÷ 8.25 0010 ÷ 10 ...

  • Page 157

    Rocketio™ x transceiver user guide www.Xilinx.Com 157 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r rxloopfilterc[1:0] rxloopfilterc[1:0] selects the receiver pll filter capacitor setting. The default is primitive dependent. The receiver loop filter capacitor selection is as fo...

  • Page 158

    158 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r rxloopfilterr[2:0] rxloopfilterr[2:0] selects the receive pll filter resistor setting. The default is primitive dependent. The receiver loop filter resistor...

  • Page 159

    Rocketio™ x transceiver user guide www.Xilinx.Com 159 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r dac mode, the center frequency is controlled by the value of vcodac[5:0]. The receiver center frequency control is defined as follows: rxvcogain rxvcogain selects between low and...

  • Page 160

    160 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r rxvselcp[1:0] rxvselcp[1:0] sets the receiver charge pump common mode voltage. The default is primitive dependent. The receiver charge pump common mode volt...

  • Page 161

    Rocketio™ x transceiver user guide www.Xilinx.Com 161 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r rxcpgain rxcpgain selects between low and high gain of the receiver charge pump. The default is 1 (high). The receiver charge pump gain control is defined as follows: rxfltcpt[4:...

  • Page 162

    162 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r rxfer[9:0] rxfer[9:0] sets the equalization in the receiver front end/equalizer, based on the adjustment of four basic boosts in four different frequency ra...

  • Page 163

    Rocketio™ x transceiver user guide www.Xilinx.Com 163 ug035 (v1.5) november 22, 2004 1-800-255-7778 register definition r txdigen txdigen enables and disables the transmit digital voltage regulator. The default is 1 (enabled). For proper operation, the bias must also be enabled. The transmit digital...

  • Page 164

    164 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r txdrven txdrven enables and disables transmit line driver operation. The default is 1 (enabled). For proper operation, the bias and transmitter must also be...

  • Page 165

    Rocketio™ x transceiver user guide www.Xilinx.Com 165 ug035 (v1.5) november 22, 2004 1-800-255-7778 data-density independent phase adjustment for cdr r data-density independent sampling point control is achieved by forcing the fine loop cp to source/sink charge on the loop filter, only when there is...

  • Page 166

    166 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r attributes from the fpga and tran_cp is accessed though sel_dac_tran[3:0] from the fpga. The programmability is always written in the form of (sel_dac_tran[...

  • Page 167

    Rocketio™ x transceiver user guide www.Xilinx.Com 167 ug035 (v1.5) november 22, 2004 1-800-255-7778 data-density independent phase adjustment for cdr r cause an offset in the sampling point. However, this offset is data-density dependent and can eventually result in a loss of lock..

  • Page 168

    168 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix c: pma attribute programming bus r.

  • Page 169: Design Migration

    Rocketio™ x transceiver user guide www.Xilinx.Com 169 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix d virtex-ii pro to virtex-ii pro x fpga design migration introduction this appendix describes important differences regarding migration from virtex-ii pro™ to the virtex-ii pro™ x fpgas. No...

  • Page 170

    170 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix d: virtex-ii pro to virtex-ii pro x fpga design migration r figure d-1 shows how refclk and brefclk are selected through use of refclksel and refclkbsel. Although the brefclk2 pins from virte...

  • Page 171

    Rocketio™ x transceiver user guide www.Xilinx.Com 171 ug035 (v1.5) november 22, 2004 1-800-255-7778 power regulation and filtering r power regulation and filtering as with virtex-ii pro fpgas, each virtex-ii pro x transceiver has five power supply pins, all of which are sensitive to noise. Table d-4...

  • Page 172

    172 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix d: virtex-ii pro to virtex-ii pro x fpga design migration r high-speed serial i/o termination virtex-ii pro on-chip termination is programmable to 50 Ω or 75 Ω . Virtex-ii pro x transceivers ...

  • Page 173: Migration Differences

    Rocketio™ x transceiver user guide www.Xilinx.Com 173 ug035 (v1.5) november 22, 2004 1-800-255-7778 migration differences r migration differences the following is a list of differences that must be considered when migrating a design from using rocketio transceivers to using rocketio x transceivers. ...

  • Page 174

    174 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix d: virtex-ii pro to virtex-ii pro x fpga design migration r table 2-2, page 46 shows the settings for rxdatawidth and txdatawidth and the corresponding data widths. It also shows the correspo...

  • Page 175

    Rocketio™ x transceiver user guide www.Xilinx.Com 175 ug035 (v1.5) november 22, 2004 1-800-255-7778 migration differences r 8b/10b basic operation is the same. Attribute rx_decode_use is replaced by a port rxdec8b10buse. The new port txenc8b10buse enables the 8b10b encoder, and port txbypass8b10b al...

  • Page 176

    176 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix d: virtex-ii pro to virtex-ii pro x fpga design migration r.

  • Page 177: Transmission Lines

    Rocketio™ x transceiver user guide www.Xilinx.Com 177 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix e serial backplane system design additional pcb design guidelines are required to meet the demands of the rocketio x transceiver for operation above 3.125 gb/s. Backplane system design guid...

  • Page 178

    178 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix e: serial backplane system design r one solution is backdrilling or counter-boring. Counter-boring is accomplished by drilling out any unused portion of the pth via from the underside of the ...

  • Page 179: Package To Pcb Launch

    Rocketio™ x transceiver user guide www.Xilinx.Com 179 ug035 (v1.5) november 22, 2004 1-800-255-7778 package to pcb launch r to an inner layer. This technique completely eliminates the via stub; however, it is often difficult to design boards with multiple blind via depths. A third and final way to r...

  • Page 180

    180 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix e: serial backplane system design r.

  • Page 181: Modifiable Attributes

    Rocketio™ x transceiver user guide www.Xilinx.Com 181 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix f modifiable attributes table f-1: default attribute values: gt10_custom attribute gt10_custom align_comma_word 1, 2, 4 chan_bond_64b66b_sv false, true chan_bond_limit 16, 0…31 chan_bond_mo...

  • Page 182

    182 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_1_1 11'b00000000000, 11-bit binary clk_cor_seq_1_2 11'b00000000000, 11-bit binary clk_cor_seq_1_3 11'b00000000000, 11-bit binary clk_cor_seq_1_4 11'b000...

  • Page 183

    Rocketio™ x transceiver user guide www.Xilinx.Com 183 ug035 (v1.5) november 22, 2004 1-800-255-7778 r sh_invalid_cnt_max 16, 1…255 tx_buffer_use true, false table f-1: default attribute values: gt10_custom (continued) attribute gt10_custom table f-2: default attribute values: gt10_aurora_1, gt10_aur...

  • Page 184

    184 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_1_2 11'b00111110111 11'b00111110111 11'b00111110111 clk_cor_seq_1_3 11'b00111110111 11'b00111110111 11'b00111110111 clk_cor_seq_1_4 11'b00111110111 11'b...

  • Page 185

    Rocketio™ x transceiver user guide www.Xilinx.Com 185 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-3: default attribute values: gt10_aurorax_4, gt10_aurorax_8, and gt10_10ge_4 attribute gt10_aurorax_4 gt10_aurorax_8 gt10_10ge_4 align_comma_word tbd tbd unused chan_bond_64b66b_sv tbd tbd f...

  • Page 186

    186 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_1 tbd tbd 11'b00000000000 clk_cor_seq_2_2 tbd tbd 11'b00000000000 clk_cor_seq_2_3 tbd tbd 11'b00000000000 clk_cor_seq_2_4 tbd tbd 11'b00000000000 clk_...

  • Page 187

    Rocketio™ x transceiver user guide www.Xilinx.Com 187 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-4: default attribute values: gt10_10ge_8, gt10_10gfc_4, and gt10_10gfc_8 attribute gt10_10ge_8 gt10_10gfc_4 gt10_10gfc_8 align_comma_word unused unused unused chan_bond_64b66b_sv false, true...

  • Page 188

    188 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_1 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_2 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_3 11'b00000000000 11'b...

  • Page 189

    Rocketio™ x transceiver user guide www.Xilinx.Com 189 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-5: default attribute values: gt10_pci_express_1, gt10_pci_express_2, and gt10_pci_express_4 attribute gt10_pci_express_1 gt10_pci_express_2 gt10_pci_express_4 align_comma_word 2, 1 2, 1 2, 1...

  • Page 190

    190 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r . Clk_cor_seq_2_1 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_2 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_3 11'b00000000000 11...

  • Page 191

    Rocketio™ x transceiver user guide www.Xilinx.Com 191 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-6: default attribute values: gt10_infiniband_1, gt10_infiniband_2, and gt10_infiniband_4 attribute gt10_infiniband_1 gt10_infiniband_2 gt10_infiniband_4 align_comma_word 2, 1 2, 1 2, 1 chan_...

  • Page 192

    192 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_2 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_3 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_4 11'b00000000000 11'b...

  • Page 193

    Rocketio™ x transceiver user guide www.Xilinx.Com 193 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-7: default attribute values: gt10_xaui_1, gt10_xaui_2, and gt10_xaui_4 attribute gt10_xaui_1 gt10_xaui_2 gt10_xaui_4 align_comma_word 2, 1 2, 1 2, 1 chan_bond_64b66b_sv unused unused unused ...

  • Page 194

    194 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_1 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_2 11'b00000000000 11'b00000000000 11'b00000000000 clk_cor_seq_2_3 11'b00000000000 11'b...

  • Page 195

    Rocketio™ x transceiver user guide www.Xilinx.Com 195 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-8: default attribute values: gt10_oc192_4 and gt10_oc192_8 attribute gt10_oc192_4 gt10_oc192_8 align_comma_word 1, 2, 4 1, 2, 4 chan_bond_64b66b_sv unused unused chan_bond_limit unused unuse...

  • Page 196

    196 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_3 unused unused clk_cor_seq_2_4 unused unused clk_cor_seq_2_mask unused unused clk_cor_seq_2_use unused unused clk_cor_seq_drop unused unused clk_cor_...

  • Page 197

    Rocketio™ x transceiver user guide www.Xilinx.Com 197 ug035 (v1.5) november 22, 2004 1-800-255-7778 r table f-9: default attribute values: gt10_oc48_1, gt10_oc48_2, and gt10_oc48_4 attribute gt10_oc48_1 gt10_oc48_2 gt10_oc48_4 align_comma_word 1, 2 1, 2 1, 2 chan_bond_64b66b_sv unused unused unused ...

  • Page 198

    198 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix f: modifiable attributes r clk_cor_seq_2_3 unused unused unused clk_cor_seq_2_4 unused unused unused clk_cor_seq_2_mask unused unused unused clk_cor_seq_2_use unused unused unused clk_cor_seq...

  • Page 199: Related Online Documents

    Rocketio™ x transceiver user guide www.Xilinx.Com 199 ug035 (v1.5) november 22, 2004 1-800-255-7778 r appendix g related online documents the documents described in this appendix are accessible on the xilinx website at www.Xilinx.Com . Document links shown in blue are clickable in this pdf file, pro...

  • Page 200: Characterization Reports

    200 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 appendix g: related online documents r the pma_speed attribute. Some applications require multiple rates supported by a single mgt, requiring the mode of the mgt to be changed dynamically. The mode ca...

  • Page 201: Numerics

    Rocketio™ x transceiver user guide www.Xilinx.Com 201 ug035 (v1.5) november 22, 2004 1-800-255-7778 numerics 64b/66b bypassing (table) 57 8b/10b bypassed signal significance 49 ports allowing access to attribute programming bus 175 valid characters 137 a ac and dc coupling 120 ac-coupled serial link...

  • Page 202

    202 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 r gt10_xaui_1 193 gt10_xaui_2 193 gt10_xaui_4 193 design migration brefclk 169 introduction 169 power regulation and filtering 171 primary differences 169 virtex-ii pro to virtex-ii pro x fpga 169 det...

  • Page 203

    Rocketio™ x transceiver user guide www.Xilinx.Com 203 ug035 (v1.5) november 22, 2004 1-800-255-7778 r rx user clock2 (rxusrclk2) (ta- ble) 134 pcb design requirements 114 ac and dc coupling 120 differential trace design 118 power conditioning 114 termination 120 pcs reset 90 pcs/pma power down 90 ph...

  • Page 204

    204 www.Xilinx.Com rocketio™ x transceiver user guide 1-800-255-7778 ug035 (v1.5) november 22, 2004 r event indication 69 status indication 68 stripline edge-coupled differential pair (figure) 119 t timing diagram 133 timing parameter tables 133 timing parameters 132 clock pulse width 132 clock to o...